EST_File Track DataType ascii NumFrames 177 NumChannels 0 NumAuxChannels 0 EqualSpace 0 BreaksPresent true EST_Header_End 0.010183 1 0.020365 1 0.030548 1 0.040731 1 0.050913 1 0.061096 1 0.071279 1 0.081462 1 0.091644 1 0.101827 1 0.112010 1 0.122192 1 0.132375 1 0.140062 1 0.147125 1 0.154437 1 0.161625 1 0.168687 1 0.175750 1 0.182812 1 0.189812 1 0.196812 1 0.203875 1 0.210875 1 0.217875 1 0.224937 1 0.232000 1 0.239063 1 0.246125 1 0.253188 1 0.260125 1 0.267062 1 0.274188 1 0.281125 1 0.288125 1 0.295250 1 0.302188 1 0.309125 1 0.316063 1 0.322937 1 0.329937 1 0.337063 1 0.344438 1 0.352125 1 0.360063 1 0.368000 1 0.376063 1 0.384187 1 0.392375 1 0.400562 1 0.408688 1 0.416875 1 0.425000 1 0.433125 1 0.441188 1 0.449313 1 0.457500 1 0.465688 1 0.473938 1 0.482250 1 0.490625 1 0.499125 1 0.508125 1 0.517500 1 0.527000 1 0.537437 1 0.548187 1 0.557125 1 0.566000 1 0.575063 1 0.584437 1 0.593875 1 0.603625 1 0.613500 1 0.623563 1 0.633687 1 0.643875 1 0.654063 1 0.664187 1 0.674375 1 0.684563 1 0.694687 1 0.704687 1 0.714875 1 0.726000 1 0.737250 1 0.747437 1 0.757875 1 0.768000 1 0.778125 1 0.788250 1 0.798688 1 0.809250 1 0.819625 1 0.830063 1 0.840563 1 0.851188 1 0.861938 1 0.872500 1 0.883375 1 0.892625 1 0.903625 1 0.912750 1 0.922875 1 0.932938 1 0.942563 1 0.952187 1 0.961750 1 0.971250 1 0.980812 1 0.990313 1 0.999812 1 1.009313 1 1.018500 1 1.026813 1 1.037764 1 1.048715 1 1.059667 1 1.070618 1 1.081569 1 1.092521 1 1.103472 1 1.114424 1 1.125375 1 1.132187 1 1.142875 1 1.151688 1 1.159938 1 1.169125 1 1.178625 1 1.188187 1 1.197875 1 1.207813 1 1.217813 1 1.227813 1 1.237750 1 1.248062 1 1.257875 1 1.267750 1 1.277562 1 1.287375 1 1.296813 1 1.307562 1 1.318437 1 1.329813 1 1.339125 1 1.348500 1 1.357500 1 1.366562 1 1.375687 1 1.384875 1 1.394125 1 1.403250 1 1.412438 1 1.421813 1 1.431125 1 1.440500 1 1.449750 1 1.459188 1 1.469000 1 1.478875 1 1.488750 1 1.499167 1 1.509583 1 1.520000 1 1.530417 1 1.540833 1 1.551250 1 1.561667 1 1.572083 1 1.582500 1 1.592917 1 1.603333 1 1.613750 1 1.624167 1 1.634583 1 1.645000 1